[Chisel]Queueについて
top of page

[Chisel]Queueについて


はじめに

Chiselでデータの受け渡しにキューを使いたい場合のためにQueueクラス(そのまま)が用意されています。

その使い方について説明します。



基本的な使い方

定義

val buf = Module(new Queue(gen = new UInt, entries = 10))

genには受け渡されるデータの型のインスタンスを指定します。entriesにはキューに入るデータ数の上限を指定します。


入出力

io.enq.bitsに入力するデータを接続します。

buf.io.enq.bits := data

格納するタイミングでvalid、readyをtrueにします。

buf.io.enq.valid := true.B
buf.io.enq.ready := true.B

同様に、取り出す先にio.deq.bitsを接続し、valid、readyを設定します。

eXUnit.io.input := buf.io.deq.bits
buf.io.deq.valid := true.B
buf.io.deq.ready := true.B

実際はキューを使いたいというからには、「ある条件が満たされたらキューから取り出す」というようなことがしたいと思います。

例えば演算が終わったら次の指令を指令キューから取り出す、というようなことです。

このような場合、「条件信号」を定義し、readyに繋げばよいです。

var complete = Wire(Bool())
・・・ //演算が終了したらcomplete = true.Bになる
buf.io.deq.valid := complete

ここがソフト屋からするとちょっと分かりにくい部分で、「ある条件が満たされたらenq()メソッドを呼ぶ」のではなく、このように信号値で制御します。



参考

最新記事

すべて表示

【Chisel/scala】overloaded method apply with alternatives

はじめに chiselでコードを書いていると、掲題のコンパイルエラーに時折遭遇する。 これの意味がいまいち分からなかったので調べてみた。 詳細 下記のエラーを例にとって一行ずつ見ていく overloaded method apply with alternatives: [error] [T <: chisel3.Data](elt0: T, elts: T*): chisel3.Vec[T]

【chisel/scala】Moduleの配列を作成する

やりたいこと 自作したクラス(部品)があり、これはModuleを継承している このクラスのインスタンス(部品)を複数回路内に配置したい 試したこと Vecを使用して配列を作ろうとしたが、上手くいかなかった。 下記のように書いてもコンパイルエラーになる class MyParts extends Module{ val io = IO(・・・) } val parts:Vec[Module] =

あなたの買い物をサポートする
アプリ Shop Plan

iphone6.5p2.png

​いつ何を買うかの計画を立てられるアプリです。

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png

靴を大切にしよう!靴管理アプリ SHOES_KEEP

納品:iPhone6.5①.png

靴の履いた回数、お手入れ回数を管理するアプリです。

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png

「後で読む」を忘れないアプリ ArticleReminder

気になった​Webサイトを登録し、指定時刻にリマインダを送れるアプリです

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png
bottom of page