top of page

【chisel/scala】Moduleの配列を作成する


やりたいこと

  • 自作したクラス(部品)があり、これはModuleを継承している

  • このクラスのインスタンス(部品)を複数回路内に配置したい


試したこと

Vecを使用して配列を作ろうとしたが、上手くいかなかった。

下記のように書いてもコンパイルエラーになる

class MyParts extends Module{
	val io = IO(・・・)
}

val parts:Vec[Module] = VecInit(Seq.fill(3)(Module(new MyParts)))
overloaded method apply with alternatives:
[error]   [T <: chisel3.Data](elt0: T, elts: T*): chisel3.Vec[T] <and>
[error]   [T <: chisel3.Data](elts: Seq[T]): chisel3.Vec[T]
[error]  cannot be applied to (Seq[MyParts])


やり方

結局Vecは不要で、Seqを用いればよい。

val parts = Seq.fill(3)(Module(new MyParts))

当初は「Seqはchiselのハードウェアを要素に持てない、それにはVecを用いる必要がある」と勘違いしていた。

実際は「Seqでは配列の要素にアクセスするインデックスにchiselの型を用いることができない」が正しいらしい。(参考

最新記事

すべて表示

【Chisel/scala】class Module is abstract; cannot be instantiated

現象 以下のchiselのコードで掲題のコンパイルエラーが発生 val parts = new Module(new MyParts()) class Module is abstract; cannot be instantiated 原因と解決策 Module()にnewをつけているのが原因。 newをつけるとコンストラクタと解釈されるが、Moduleは抽象クラスなのでコンストラクタはない。そ

【Chisel/scala】Bundleのメンバにハードウェアの配列を追加する

やりたいこと 掲題の通りだが、chiselのハードウェアの配列をBundleのメンバにを追加したい。どのような状況かというと、同じ回路を複数用意して並列処理をさせるということをしたい。 UIntの配列ならば簡単なのだが、配列のメンバがハードウェアの場合にかなりてこずった。 前提 Scalaの型、chiselの型、chiselのハードウェアがある Int、SeqはScalaの型 UInt、Vecはc

【Chisel/scala】overloaded method apply with alternatives

はじめに chiselでコードを書いていると、掲題のコンパイルエラーに時折遭遇する。 これの意味がいまいち分からなかったので調べてみた。 詳細 下記のエラーを例にとって一行ずつ見ていく overloaded method apply with alternatives: [error] [T <: chisel3.Data](elt0: T, elts: T*): chisel3.Vec[T]

あなたの買い物をサポートする
アプリ Shop Plan

iphone6.5p2.png

​いつ何を買うかの計画を立てられるアプリです。

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png

靴を大切にしよう!靴管理アプリ SHOES_KEEP

納品:iPhone6.5①.png

靴の履いた回数、お手入れ回数を管理するアプリです。

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png

「後で読む」を忘れないアプリ ArticleReminder

気になった​Webサイトを登録し、指定時刻にリマインダを送れるアプリです

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png
bottom of page