top of page

[Chisel]条件分岐について

はじめに

chiselを書いていると、条件分岐として以下の文法が使えることを学びます。

  • if

  • when

  • Mux (MuxCase)


これらはどのように使い分けたらいいのでしょうか?



ifとwhen/Mux

まずこれらは条件判定に用いる変数がscalaのBoolean型かchiselのBOOL型かが異なります。

if: scalaのBoolean型

when/Mux: chiselのBOOL型


つまり、判定対象の変数の型によってifを使うのかwhen/Muxを使うのかが決まります。



whenとMux

ではwhenとMuxをどのように使い分けるかというと、これはあくまで個人的な意見ですが

  • 何らかの信号に繋げる値の計算を場合分けする場合はMux whenの中で計算した値をwhenの外で定義した値に繋ぐのはできないらしい(コンパイルエラーになった)

  • 条件によって処理が変わる場合はwhen

というように考えています。

最新記事

すべて表示

【Chisel/scala】class Module is abstract; cannot be instantiated

現象 以下のchiselのコードで掲題のコンパイルエラーが発生 val parts = new Module(new MyParts()) class Module is abstract; cannot be instantiated 原因と解決策 Module()にnewをつけているのが原因。 newをつけるとコンストラクタと解釈されるが、Moduleは抽象クラスなのでコンストラクタはない。そ

【Chisel/scala】Bundleのメンバにハードウェアの配列を追加する

やりたいこと 掲題の通りだが、chiselのハードウェアの配列をBundleのメンバにを追加したい。どのような状況かというと、同じ回路を複数用意して並列処理をさせるということをしたい。 UIntの配列ならば簡単なのだが、配列のメンバがハードウェアの場合にかなりてこずった。 前提 Scalaの型、chiselの型、chiselのハードウェアがある Int、SeqはScalaの型 UInt、Vecはc

【Chisel/scala】overloaded method apply with alternatives

はじめに chiselでコードを書いていると、掲題のコンパイルエラーに時折遭遇する。 これの意味がいまいち分からなかったので調べてみた。 詳細 下記のエラーを例にとって一行ずつ見ていく overloaded method apply with alternatives: [error] [T <: chisel3.Data](elt0: T, elts: T*): chisel3.Vec[T]

あなたの買い物をサポートする
アプリ Shop Plan

iphone6.5p2.png

​いつ何を買うかの計画を立てられるアプリです。

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png

靴を大切にしよう!靴管理アプリ SHOES_KEEP

納品:iPhone6.5①.png

靴の履いた回数、お手入れ回数を管理するアプリです。

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png

「後で読む」を忘れないアプリ ArticleReminder

気になった​Webサイトを登録し、指定時刻にリマインダを送れるアプリです

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png
bottom of page