top of page

[scala/chisel] value >> is not a member of (chisel3.Bool, chisel3.UInt)


現象

以下のコードで掲題のコンパイルエラーが発生

val x = 3.U(16.W)
val y = 5.U(16.W)

val mul_out := MuxCase(0.U(16.W), Seq(
    (exe_fun === ALU_MULH) -> (x*y)>>32.U(WORD_LEN.W),
))

※exe_funはこの前で計算される整数型の変数と考えてください


エラーメッセージは、「chisel3.BOOL >> chisel3.UIntという演算はできないよ」ということを言っているようです。xもyもUInt型で定義しているのに、、、



原因と解決策

上記のコードだとコンパイル時に

(exe_fun === ALU_MULH) -> (x*y)

の部分が先に処理されてしまい、

((exe_fun === ALU_MULH) -> (x*y)) >> 32.U(WORD_LEN.W)

と解釈されてしまうようです。


そこで、以下のようにかっこをつければ無事コンパイルできました。

val x = 3.U(16.W)
val y = 5.U(16.W)

val mul_out := MuxCase(0.U(16.W), Seq(
    (exe_fun === ALU_MULH) -> ((x*y)>>32.U(WORD_LEN.W)),
))

最新記事

すべて表示

【Chisel/scala】class Module is abstract; cannot be instantiated

現象 以下のchiselのコードで掲題のコンパイルエラーが発生 val parts = new Module(new MyParts()) class Module is abstract; cannot be instantiated 原因と解決策 Module()にnewをつけているのが原因。 newをつけるとコンストラクタと解釈されるが、Moduleは抽象クラスなのでコンストラクタはない。そ

【Chisel/scala】Bundleのメンバにハードウェアの配列を追加する

やりたいこと 掲題の通りだが、chiselのハードウェアの配列をBundleのメンバにを追加したい。どのような状況かというと、同じ回路を複数用意して並列処理をさせるということをしたい。 UIntの配列ならば簡単なのだが、配列のメンバがハードウェアの場合にかなりてこずった。 前提 Scalaの型、chiselの型、chiselのハードウェアがある Int、SeqはScalaの型 UInt、Vecはc

【Chisel/scala】overloaded method apply with alternatives

はじめに chiselでコードを書いていると、掲題のコンパイルエラーに時折遭遇する。 これの意味がいまいち分からなかったので調べてみた。 詳細 下記のエラーを例にとって一行ずつ見ていく overloaded method apply with alternatives: [error] [T <: chisel3.Data](elt0: T, elts: T*): chisel3.Vec[T]

あなたの買い物をサポートする
アプリ Shop Plan

iphone6.5p2.png

​いつ何を買うかの計画を立てられるアプリです。

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png

靴を大切にしよう!靴管理アプリ SHOES_KEEP

納品:iPhone6.5①.png

靴の履いた回数、お手入れ回数を管理するアプリです。

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png

「後で読む」を忘れないアプリ ArticleReminder

気になった​Webサイトを登録し、指定時刻にリマインダを送れるアプリです

google-play-badge.png
Download_on_the_App_Store_Badge_JP_RGB_blk_100317.png
bottom of page